vhdl Questions

3

I can print an integer as decimal to stdout with: library std; use std.textio.all; entity min is end min; architecture behav of min is begin process is variable my_line : line; begin write(m...
Clapperclaw asked 17/6, 2016 at 11:2

2

Solved

I have a question regarding the compilation of HDL programs within the context of FPGA design. 1) Why does the compilation process take so long? Is it really the compilation process that takes a ...
Outrank asked 4/5, 2016 at 23:11

1

Solved

I am working on a VHDL design and I have it working, but the code is pretty ugly and the fact that it seems that I am trying to work around the language's design to accomplish my goal makes me feel...
Triode asked 4/5, 2016 at 18:37

2

Solved

I'm using the command line argument -modelsimini <modelsim.ini> to specify my own modelsim.ini file for most QuestaSim / ModelSim executables. This works perfectly fine on Linux for vcom and...
Siler asked 3/5, 2016 at 23:26

1

I want to create an array that can hold std_logic_vectors as its elements, but im not sure how to place the elements into the array. My code to create the array is the following: type ist_array i...
Moor asked 27/4, 2016 at 6:13

2

Solved

Consider the following VHDL record: type big_record_t is record field_a : unsigned(15 downto 0); field_b : unsigned(23 downto 0); end record; Is it possible to get attributes on the record fie...
Prud asked 15/4, 2016 at 9:17

3

Solved

My testbench uses a function that is defined in a modelsim package (init_signal_spy). So I can't use this testbench with a different simulator than ModelSims vsim, for example Candence's ncsim. But...
Bodywork asked 3/7, 2014 at 9:3

3

Solved

I have experience with Verilog/SystemVerilog but I am new to VHDL and I am trying to figure out when I should use component instantiation or entity instantiation. By component instantiation I mean ...
Belew asked 25/3, 2016 at 22:55

2

Solved

I want to see a variable's value for debugging my code. But, I can see only signals and input and outputs value when I put mouse pointer on them, like in this picture (state is a signal here): B...
Edwardedwardian asked 11/3, 2016 at 16:11

2

Solved

In some testbench code I use a procedure to do something with a signal. I then use this procedure multiple times in sequence on different signals. This works fine as long as I explicitly define the...
Fey asked 25/6, 2015 at 8:25

4

Solved

I keep forgetting and its difficult to search for the answer in a textbook or the Internet.
Disability asked 2/11, 2011 at 22:29

4

Solved

I have manageg to implement a simulation timeout in VHDL. If processes are running longer the MaxRuntime they get 'killed'. Unfortunately, this does not work the other way around. If my simulation...
Osgood asked 9/2, 2016 at 21:5

1

Solved

Whenever I create a VHDL design I tend to have many modules. Each of these modules are then connected to one main file and so everything is synthesised. But I want to write seperate test benches fo...
Brendabrendan asked 23/1, 2016 at 23:10

1

Solved

I want to run a simulation in Quartus. So I assign a Testbench in the Assignment menu. My testbench includes my DUT(D) and a extra component(E), which is only for simulation (so this component incl...
Dishwater asked 16/1, 2016 at 16:36

7

Solved

LLVM is very modular and allows you to fairly easily define new backends. However most of the documentation/tutorials on creating an LLVM backend focus on adding a new processor instruction set and...
Secundine asked 8/9, 2010 at 4:44

3

Solved

First of all, forgive me if this isn't the right place to post this question, but I wasn't sure where it should go. I am currently working on simulating an ALU in Xilinx with VHDL. The ALU has the ...
Bonnett asked 30/12, 2015 at 17:34

15

Solved

Is there a good IDE to work with VHDL projects ? Or are most of the professionals working with emacs/vim/notepad++ ?
Untouchability asked 20/5, 2010 at 12:15

9

Solved

Consider the following problem. You have a bit-string that represents the current scheduled slave in one-hot encoding. For example, "00000100" (with the leftmost bit being #7 and rightmost #0) mean...
Dryad asked 26/1, 2009 at 16:31

3

When I learnt how to express finite state machines in VHDL, it was with a two-process architecture. One process handles the clock/reset signals, and another handles the combinatorial logic of updat...
Vallecula asked 15/11, 2015 at 10:26

3

Solved

I have four std_logic_vectors (15 downto 0) and want to stack them into a std_logic_vector (63 downt 0) so fare I have found one way of doing it but is it the correct way or is there a more optimal...
Remmer asked 14/10, 2015 at 15:55

4

Solved

I like to avoid resetting data registers that don't need to be reset. For example, when streaming data through pipeline stages, if each stage has a valid bit, there is no need to reset the data reg...
Sere asked 31/1, 2014 at 0:39

1

Solved

I should create an entity in VHDL that has a variable number of inputs and outputs. This number of pins should be given from the GENERIC construct. Let's suppose to have this code: entity HELLO is...
Kraut asked 14/9, 2015 at 10:25

2

Solved

Various aspects of test strategies for FPGAs have been discussed here on SO but I can't find that the following question has been asked/discussed/answered: At what levels should you simulate your ...
Radiopaque asked 3/9, 2015 at 17:5

3

Solved

How do I know where the port/signal/value should be placed on which side of the arrows? I noticed that by switching port_a => x to x <= port_a which seems very equal, I got an error. Also, x ...
Bailly asked 18/4, 2012 at 9:40

2

Solved

Let's suppose I have to test different bits on an std_logic_vector. would it be better to implement one single process, that for-loops for each bit or to instantiate 'n' processes using for-generat...
Alvey asked 13/7, 2015 at 21:16

© 2022 - 2024 — McMap. All rights reserved.