quartus Questions

2

Solved

I'm using Ubuntu Linux 14.04 LTS with Altera Quartus 15.0 web-edition and I'm having a hard time simulate my design due to licensing errors. I'm designing an LCD_driver for the VEEK-MT's LCD touch ...
Lancers asked 9/8, 2015 at 20:27

5

Solved

I've looked at all the previous questions and no one seems to have a problem as simple as mine. Also I've searched the web and can't find a solution. I'm new to VHDL and am trying to compile the si...
Atom asked 14/9, 2014 at 10:31

1

Solved

I have recently moved to Linux and am getting used to the OS, I managed to install and run Quartus 20.1 Lite and I was testing it out with an old working project. When I opened my waveform and ran ...
Saccharometer asked 3/7, 2020 at 15:57

3

Solved

What are .rbf files and how can i generate them from the Quartus output file .sof on windows ?
Truman asked 1/3, 2015 at 22:28

4

Solved

I'm using Altera Quartus 2 to do a custom 8 bit processor and it takes forever to compile on my laptop. I'm only using simulations and making my processor in schematic (block diagram) and VHDL. Rig...
Possessed asked 10/12, 2008 at 2:46

1

Solved

I want to run a simulation in Quartus. So I assign a Testbench in the Assignment menu. My testbench includes my DUT(D) and a extra component(E), which is only for simulation (so this component incl...
Dishwater asked 16/1, 2016 at 16:36

1

Installed Quartus 13.0 with Modelsim in Fedora 22 64-bit. Running Quartus in 32-bit because I get lots and lots of problems otherwise. However, I can start Quartus, create a project, synthesize it,...
Affection asked 14/9, 2015 at 7:12

1

Solved

I have the following VHDL code, its a entity of a project: library ieee; use ieee.std_logic_1164.all; library work; use work.typedef.all; entity uc is port(faaaa: in std_logic_vector(15 downto 0...
Celestina asked 29/5, 2015 at 8:39
1

© 2022 - 2025 — McMap. All rights reserved.