vhdl Questions
3
Solved
I am getting some unexpected behavior when using the to_01 conversion function in VHDL-2008. My expectation would be that vector bits that can clearly be interpreted as high or low are mapped to '1...
Raphaelraphaela asked 22/11, 2017 at 9:10
4
Solved
3
It seems like there is quite some debate about how to code finite state machines (FSMs) in VHDL. People talk about 1-process, 2-process, or 3-process FSMs as if everyone knew exactly what it means ...
Kernite asked 28/10, 2014 at 21:23
5
I'm looking for a fast way to do a partial sort of 81 numbers - Ideally I'm looking to extract the lowest 16 values (its not necessary for the 16 to be in the absolutely correct order).
The target...
8
Solved
why I get error in VHDL for this? Also, sometimes: cannot do process as a process failed previously?
Many thanks.
3
Solved
I am just learning vhdl, and am trying to use a 3-input nand gate. The code I have is:
G => (A nand B nand C) after 3 ns;
but this does not compile.
Prediction asked 2/11, 2011 at 16:48
5
Solved
I've looked at all the previous questions and no one seems to have a problem
as simple as mine. Also I've searched the web and can't find a solution.
I'm new to VHDL and am trying to compile the si...
Atom asked 14/9, 2014 at 10:31
5
I wanna have a simple module that adds two std_logic_vectors. However, when using the code
below with the + operator it does not synthesize.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.s...
5
Solved
I came across two styles of process statements in VHDL.
process(clk)
begin
if rising_edge(clk)
...do something...
The other one is
process
begin
wait until rising_edge(clk)
...do something...
...
Misbecome asked 22/9, 2015 at 12:35
2
Solved
I am trying to create a few constants and assign hex numbers to them; however, I keep getting errors.
I want the constant FOO_CONST to be equal to 0x38
Like this...
constant FOO_CONST : integer := ...
3
Solved
Currently, I am learning some FPGA design techniques using VHDL, my problem is whether we can use := and <= interchangeably in VHDL or not, though I've seen the use of := in constants declaratio...
Ina asked 13/8, 2012 at 1:7
4
Solved
In verilog, I can assign a string to a vector like:
wire [39:0] hello;
assign hello = "hello";
In VHDL, I'm having difficulty finding a method like this:
SIGNAL hello : OUT std_logic_vector (...
4
Solved
in VHDL, I can easily do this:
constant cmdbytes : bytearray(0 to Total) := (x"05", x"00", x...};
I want synthesizable constants so that when the FPGA starts, this array has the data I supplied....
Eloiseloisa asked 2/1, 2013 at 22:22
3
Solved
I'm trying to translate a Verilog program into VHDL and have stumbled across a statement where a question mark (?) operator is used in the Verilog program.
The following is the Verilog code;
1 mo...
3
Solved
I'm new to VHDL and am having trouble figuring out which data types are appropriate to use where. If I understand correctly, for synthesis, all top level entity ports should be declared either std_...
4
Solved
Say I need a signal to represent numbers from 0 to 5; obviously this needs 3 bits of std_logic to be represented (i.e if MAXVAL=5, then bitwidth= {wcalc "floor(logtwo($MAXVAL))+1"}).
I'm aware I ...
8
Solved
How can I convert a std_logic vector, bit_vector or any other vector to string?
Signal a,b : UNSIGNED(7 DOWNTO 0);
SIGNAL x,y,z : BIT_VECTOR(7 DOWNTO 0);
...
report "value: " & BIT_VECTOR'Im...
Psychodrama asked 14/3, 2013 at 10:37
4
Solved
I am working on projects which requires synthesis of my RTL codes specifically for ASIC development. Given the case, how much important is it, to separate sequential logic from differential logic w...
Kopans asked 17/4, 2017 at 12:39
3
Solved
Below is the code that I am running. My question is why doesn't the 3rd wait until trigger in modelsim? The console output is simply GOT HERE. It never gets to the line GOT HERE 2. I would think th...
2
Solved
I did recently start to use records for my port definitions, especially if I want to group signals that belong to a certain interface. However, the problem I'm facing here is that I cannot pass, sa...
Sutherlan asked 28/10, 2011 at 5:6
5
Solved
I have an input signal from ADC convertor that is 8 bits (std_logic_vector(7 downto 0)). I have to convert them to a 16 bits signal (std_logic_vector(15 downto 0)) for 16 bits signal processing to ...
Chandlery asked 3/7, 2013 at 15:1
4
At first I wanna point out that this is my first attempt with VHDL so be kind. I want to read the X1 ... X4 inputs and produce the sum of the ones at the output. This my code
library IEEE;
use IEE...
Ravishment asked 27/10, 2014 at 23:18
5
Solved
In simulation this works perfect. Is this is the best way of checking for
zeros for a synthesisable code. What would be the resources generated?
signal vector_slv : std_logic_vector(2048 downto 0)...
Sylviasylviculture asked 25/9, 2013 at 15:12
3
I want to make power function using vhdl where the power is floating number and the number is integer (will be always "2").
2^ some floating number.
I use ieee library and (fixed_float_types.all,...
6
Solved
Just wondering if I'm implementing a finite state machine in VHDL whether or not I need to state what all of the outputs are in every possible state? Even if I know that some outputs won't change f...
1 Next >
© 2022 - 2024 — McMap. All rights reserved.